並列ランダムアクセス機械(へいれつランダムアクセスきかい、英: Parallel Random Access Machine, PRAM)は、並列コンピューティングに適用可能なアルゴリズムを設計するための抽象機械である。同期や通信といった細かな部分を省き、並行性をいかに引き出すかに集中することが可能となる。フリンの分類によれば、PRAM は MIMD 型コンピュータに相当する。

Property Value
dbo:abstract
  • 並列ランダムアクセス機械(へいれつランダムアクセスきかい、英: Parallel Random Access Machine, PRAM)は、並列コンピューティングに適用可能なアルゴリズムを設計するための抽象機械である。同期や通信といった細かな部分を省き、並行性をいかに引き出すかに集中することが可能となる。フリンの分類によれば、PRAM は MIMD 型コンピュータに相当する。 (ja)
  • 並列ランダムアクセス機械(へいれつランダムアクセスきかい、英: Parallel Random Access Machine, PRAM)は、並列コンピューティングに適用可能なアルゴリズムを設計するための抽象機械である。同期や通信といった細かな部分を省き、並行性をいかに引き出すかに集中することが可能となる。フリンの分類によれば、PRAM は MIMD 型コンピュータに相当する。 (ja)
dbo:wikiPageExternalLink
dbo:wikiPageID
  • 1115104 (xsd:integer)
dbo:wikiPageLength
  • 3163 (xsd:nonNegativeInteger)
dbo:wikiPageRevisionID
  • 82514748 (xsd:integer)
dbo:wikiPageWikiLink
prop-ja:wikiPageUsesTemplate
dct:subject
rdfs:comment
  • 並列ランダムアクセス機械(へいれつランダムアクセスきかい、英: Parallel Random Access Machine, PRAM)は、並列コンピューティングに適用可能なアルゴリズムを設計するための抽象機械である。同期や通信といった細かな部分を省き、並行性をいかに引き出すかに集中することが可能となる。フリンの分類によれば、PRAM は MIMD 型コンピュータに相当する。 (ja)
  • 並列ランダムアクセス機械(へいれつランダムアクセスきかい、英: Parallel Random Access Machine, PRAM)は、並列コンピューティングに適用可能なアルゴリズムを設計するための抽象機械である。同期や通信といった細かな部分を省き、並行性をいかに引き出すかに集中することが可能となる。フリンの分類によれば、PRAM は MIMD 型コンピュータに相当する。 (ja)
rdfs:label
  • 並列ランダムアクセス機械 (ja)
  • 並列ランダムアクセス機械 (ja)
owl:sameAs
prov:wasDerivedFrom
foaf:isPrimaryTopicOf
is dbo:wikiPageWikiLink of
is owl:sameAs of
is foaf:primaryTopic of